IPB

Welcome Guest ( Log In | Register )

Implementing variable size hardware - Multiplierless Multiplier

This topic is about Implementing variable size hardware - Multiplierless Multiplier, the author, , wrote about: ... To read more just scroll down

> Implementing variable size hardware - Multiplierless Multiplier, Implementing variable size hardware - Multiplierless Multiplier
joeclark
post Jul 10 2017, 09:10 AM
Post #1


sofer
***

Group: Membri
Posts: 72
Joined: 21-June 17
Member No.: 149.757



Hi all, apologies if this is the wrong forum for this, it would be much appreciated if someone could point toward the right one.

Disclaimer: I'm new to VHDL.

I'm looking to implement a multiplier-less multiplier for an FIR filter. I'm trying to be as general as possible, so I don't want to hard-code my component placements. Is there a good method of procedurally generating a component (i.e. a multiplier)? I've encoded the digits of the constant multiplicand in CSD and stored it as string which I'm passing into the component via a 'generic' statement. Now I'm attempting to use a generate loop (looping over the string) to place adders, subtractors, and shifters. The issue is that the Startup Marketing Video output of one adder/subtractor is piped directly into the input of the next one and since there is an unknown amount of adders, I can't declare the signals before hand. What's the best way to go about this?
Go to the top of the page
 
+Quote Post

Posts in this topic


Reply to this topicStart new topic
Tags
No Tag inserted yet

1 User(s) are reading this topic (1 Guests and 0 Anonymous Users)
0 Members:

 



RSS Lo-Fi Version Time is now: 29th March 2024 - 08:24 AM